英文翻译等精度频率计.doc

上传人:精*** 文档编号:823784 上传时间:2023-09-03 格式:DOC 页数:12 大小:56.50KB
下载 相关 举报
英文翻译等精度频率计.doc_第1页
第1页 / 共12页
英文翻译等精度频率计.doc_第2页
第2页 / 共12页
英文翻译等精度频率计.doc_第3页
第3页 / 共12页
英文翻译等精度频率计.doc_第4页
第4页 / 共12页
英文翻译等精度频率计.doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

1、FPGA F ield P rogrammable Gate A rrayField programmable gate array (FPGA F ield P rogrammable Gate A rray) belongs AS IC products through software programming on the target device of the structure and working methods of reconstruction, the design can be adjusted at any time, high integration, struct

2、ural flexibility, shorter development cycles, rapid and high reliability characteristics of digital design in which rapid development. Reconstruction of high-density gate array will rise to many complex computing, in which the traditional parallel computer hardware. Their features, and ensure that t

3、he development of a hardware system dedicated to performing rapid co-occurrence matrix computation, thus meeting requirements of real-time image analysis applications. On the other hand, ultra-large-scale integrated circuits (VLSI) architecture can be seen as competitive substitutes. However, they a

4、re not remodeling their high development costs involved in a long time, the development process.Digital Frequency of communications equipment, audio and video, and other areas of scientific research and production of an indispensable instrument. Programming using Verilog HDL Design and Implementatio

5、n of the digital frequency, in addition to the plastic part of the measured signal, and digital key for a part of the show, all in an FPGA chip to achieve. The entire system is very lean, flexible and have a modification of the scene.And other precision measuring frequency PrincipleFrequency measure

6、ment methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only t

7、o low-frequency signals.Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accurac

8、y, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FP

9、GA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of

10、 between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realizat

11、ion of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequ

12、ency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M signal Overflow will do, according to theoretical calculations GA TE time width T c can be greater than 42194 s, but due to the single-chip microcomputer data processing capacity constrain

13、ts, the actual width of less time, generally 10 to 011 s in the inter-choice, that is, high - band, the gate time shorter, low gate longer. This time gate width based on the measured T c automatically adjust the size of frequency measurement frequency range to achieve the automatic conversion, and e

14、xpanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error.Frequency of achievingFrequency Measurement accuracy of such method. Can be simplified as shown in the diagram. Map CNT1 and CNT2 two controllable counter,

15、standard frequency (f) signal from the CN F1 clock input cI K input, the signal measured after the plastic (f) CNT2 clock input cI K input. Each counter in the CEN input as enable end, used to control the counter count. When the gate signal is HIGH Preferences (Preferences start time). Signal measur

16、ed by the rising edge of the D flip-flop input, launched at the same time with two counts of juice; Similarly, when preferences for low gate signal (the end of Preferences time), the rising edge of the measured signals through D Trigger output end of the counter to stop counting.And the median frequ

17、ency of relevant indicatorsMedian: At the same time the figures show that up to the median. The usual eight-count frequency of only several hundred yuan can buy. For high precision measurements, nine just beginning, the middle is 11, 13 can be relatively high. Overflow of:-the ability to promote its

18、elf to overflow the equivalent of the total. Some of the frequency with overflow function, which is the highest overflow does not display only shows that the bit behind, in order to achieve the purpose of the median. Here is the estimated value of individual indicators. Speed: namely, the number of

19、per second. With the high number of measurement particularly slow but also lose its significance. Counting of the usual eight frequency measurement 10 MHz signals, one second gate will be 10000000 Hz, which is actually seven (equivalent to the median number of common admission after the value), to o

20、btain eight needed 10 seconds gate ; to obtain nine needed 100 seconds gate, followed by analogy, shows that even the permission of 11 need 10,000 second measurement time. But in any case, or seven per second. Therefore, to fast must be a few high speed. Distinction: it is like a minimum voltage met

21、er can tell how much voltage indicators are similar, the smaller the better, unit ps (picoseconds). 1000ps = 1ns. Suppose you use the frequency of 1 ns to differentiate between an e-12 error, we need a ns/1e-12 = 1000 seconds. Also assume that you have a frequency resolution of 100 ps, the measureme

22、nt time can be shortened by 10 times for 100 seconds, or can be in the same 1000 second measured under an e-14 Error.Time and Frequency MeasurementCompared to traditional methods of circuit design, EDA technology uses VHDL language to describe circuit system, including circuit structure, behavior, f

23、unction and interface logic. Verilog HDL description of a multi-level system hardware functions, and support top-down design features. Designers can not understand the hardware structure. Start from the system design, on the top floor of a system block diagram of the structure and design, in a diagr

24、am with Ver-ilog HDL acts on the circuit description and simulation and error correction, and then the system level verification, and finally use logic synthesis optimization tool to create specific gate-level logic circuit netlist, download to the specific FPGA device to in order to achieve FPGA de

25、sign. Time and frequency measurement is an important area of electronic measurement. Frequency and time measurement has been receiving increasing attention, length, voltage, and other parameters can be transformed into a frequency measurement and related technologies to determine. Based on the more

26、traditional method of synchronization cycle, and has proposed a multi-cycle synchronization and quantitative method of measuring delay frequency method. The most simple method of measuring the frequency of direct frequency measurement method. Direct Frequency Measurement is scheduled to enter the ga

27、te signal pulse, the adoption of the necessary counting circuit, the number of pulses are filled to calculate the frequency or analyte signal cycle. In the direct frequency measurement on the basis of the development of multi-cycle synchronous measurement method, in the current frequency monitoring

28、system to be more widely used. Multi-cycle synchronization frequency measurement technology actual gate time is not fixed value, but the measured signals in the whole cycle times, and the measured signal synchronization, thereby removing the measured signal count on when the word 1 error, measuremen

29、t accuracy greatly improved, and reached in the entire spectrum of measurement, such as precision measurement.In the time-frequency measurement method, the multi-cycle synchronization is a high precision, but still unresolved a word error, mainly because of the actual gate edge and standard frequenc

30、y synchronization is not filling pulse edge Tx=N0T0-t2+t1, if accurately measured short interval t1 and t2, will be able to accurately measure time intervals Tx, eliminating a word counting error, so as to further enhance accuracy. To measure a short time interval t1 and t2, commonly used analog int

31、erpolation method with the cursor or more combined cycle synchronization, although accuracy is greatly improved, but eventually failed to resolve a word error this fundamental issue, but these methods equipment complex and not conducive to the promotion. To obtain high precision, fast response time,

32、 simple structure and the frequency and time measurement method is relatively difficult. Judging from the structure as simple as possible at the same time take into account the point of view of accuracy, multi-cycle synchronization and delay based on the quantitative methods in a short period of tim

33、e interval measurement, achieved within the scope of broadband, such as high-resolution measurement accuracy. Quantified by measuring short time intervals Delay Photoelectric signal can be in a certain stability in the medium of rapid spread, and in different media have different delay. By signals g

34、enerated by the delay to quantify, and gave a short period of time interval measurement. The basic principle is that delay serial, parallel count, and different from the traditional counter serial number, that is, to signal through a series of delay unit, the delay unit on the delay stability, under

35、 the control of the computer Delay on the state of high-speed acquisition and data processing, for a short period of time to achieve accurate measurement interval.Delay quantitative thinking depend on the realization of the delay stability delay unit, the unit depends on the resolution of the delay

36、time delay element. Delay device as a unit can be passive conduit, or other active devices gate circuit. Among them, Traverse shorter delay time (nearly the speed of light transmission delay), the gate delay time longer. Taking into account delays can be predictive ability final choice of the CPLD d

37、evices, the realization of the short time interval measurement. Will be the beginning of a short time interval signal sent delay in the transmission chain, when the advent of the end of signal, this signal delay in the delay in the chain latch state, read through the CPU, the judge signal a delay un

38、it on the few short-term time interval can be the size of the unit decided to delay resolution of the unit delay time. Generally speaking, in order to measure both short interval, the use of two modules delay and latches, but in reality, given the time software gate large enough to allow completion

39、from the number of CPU operation, which can be measured in the time interval taken before the end of a short period of time at t1 corresponding delay the number of units through the control signals must be used only a delay and latches units, it saves CPLD internal resources. Synchronization and mul

40、ti-cycle latency to quantify the method of combining The formula is: T=n0t0+n1t1-n2t1On, n0 for the filling pulse of value; t0 for filling pulse cycle, that is 100 ns; n1 for a short period of time at t1 corresponding delay the number of modules; n2 for a short period of time at t2 corresponding del

41、ay unit Number; t1 quantify delay devices for the delay delay unit volume (4.3 ns). In this way, using multi-cycle synchronization and realized the gate and measured signal synchronization; Delay of using quantitative measurement of the original measured not by the two short intervals, to accurately

42、 measure the size of the actual gate, it raised frequency measurement accuracy. The frequency synthesizer output frequency signal can only be transferred to the minimum 10 Hz, XDU-17 as a standard of measurement can be calculated prototype frequency measurement accuracy. For example, the measured si

43、gnal is measured at 15.000010 MHz MHz signal to 5.00001002, from the calculation can be seen above, the resolution of the prototype has reached ns order of magnitude below from the perspective of theoretical analysis to illustrate this point.It has been anal yzed,multi-cycle synchronization frequenc

44、y measurement, the measurement uncertainty: When the input f0 10 MHz, 1 s gate time, the uncertainty of measurement of 110-7/s. When the measurement and quantification of delay circuit with short intervals combined, the uncertainty of measurement can be derived from the following. In the use of cycl

45、e synchronization, multi-analyte Tx for the cycle value of T0 time base for the introduction of the cycle. Tx= NT0+t1-t2 Delay circuit and quantitative combined: Tx= NT0+(N1-N2)tdTx Here, Tx not for the accuracy of the measurement. On the decline of the share: Tx2td From the details of the measuring

46、 accuracy of this method depends on the td, and its direct impact on the stability and size of the uncertainty of measurement. Therefore, the application of methods, counters can be achieved within the entire frequency range, such as the accuracy of measurement, and measurement accuracy is significa

47、ntly improved, measuring improvement in resolution to 4.3 ns, and the elimination of the word a theoretical error, the accuracy is increased by 20 times. CONCLUSION This paper presents a new method of measuring frequency. Based on the frequency of this method of digital integrated circuit in a CPLD,

48、 greatly reduced the volume of the entire apparatus, improved reliability, and a high-resolution measurements.Frequency of VHDL DesignALTERA use of the FPGA chip EPF10K10 companies, the use of VHDL programming language design accuracy of frequency, given the core course, ISPEXPER simulation, design

49、verification is successful, to achieve the desired results. Compared to the traditional frequency, the frequency of FPGA simplify the circuit board design, increased system design and the realization of reliability, frequency measurement range of up to 100 MHz and achieve a digital system hardware and software, which is digital l

展开阅读全文
相关资源
相关搜索
资源标签

当前位置:首页 > 学术论文 > 外文翻译(毕业设计)

版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1 

陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922