EDA课程设计数字时钟的设计.docx

上传人:精*** 文档编号:865428 上传时间:2023-10-05 格式:DOCX 页数:15 大小:276.85KB
下载 相关 举报
EDA课程设计数字时钟的设计.docx_第1页
第1页 / 共15页
EDA课程设计数字时钟的设计.docx_第2页
第2页 / 共15页
EDA课程设计数字时钟的设计.docx_第3页
第3页 / 共15页
EDA课程设计数字时钟的设计.docx_第4页
第4页 / 共15页
EDA课程设计数字时钟的设计.docx_第5页
第5页 / 共15页
点击查看更多>>
资源描述

1、目录摘要一、课程设计任务及要求31.1实验目的31.2功能设计4二、整体设计思想42.1性能指标及功能设计42.2总体方框图4三、详细设计53.1数字时钟的结构:53.2控制模块的结构53.3.1按键处理模块63.3.2定时时钟模块63.3.3扫描时钟模块63.3.4定时计数模块63.3.5显示控制模块7四、主程序.7五、实验步骤145.1工程建立及存盘 145.2时序仿真 145.3引脚锁定 145.4硬件测试 155.5实验结果 15结束语 15参考文献 16EDA技术实现的数字电子时钟设计摘 要 EDA技术在硬件实现方面融合了大规模集成电路制造技术,IC版图设计技术、ASIC测 试与封装

2、技术、FPGA /CPLD编程下载技术、自动检测技术等;EDA技术为现代电子理论和设计的表达与实现提供了可能性。在现代技术的所有领域中,纵观许多得以飞速发展的科学技术,多为计算机辅助设计,而非自动化设计。显然,最早进入设计自动化的技术领域之一是电子技术,这就是为什么电子技术始终处于所有科学技术发展最前列的原因之一。不难理解,EDA技术已不是某一学科的分支,或某种新的技能技术,应该是一门综合性学科。它融合多学科于一体,打破了软件和硬件间的壁垒,是计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术合应用激活速 的发展方向。电子时钟以成为人们常生活中数字电子钟一般由振荡器,

3、分频器,译码器,显示器等部分组成。电子时钟的应用非常广泛,应用于人家庭或车站、剧场、办公室等公共场所,给人们的生活,学习,工作,娱乐带来极大的便利, 尽管目前市场上以有现成电子时钟集成电路芯片,价格便宜这些都是数字电路中最基本的,应用最广的电路。数字电子钟的基本逻辑功能框图如下:它是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。他的计时装置的周期为24小时,显示满刻度为23时 59分59秒,另外应有校时功能。 关键字:EDA;VHDL语言;电子时钟 一、 课程设计任务及要求1.1实验内容选用合适的可编程逻辑器件及外围电子元器件。设计一个数字电子钟,利用EDA软件(QUARTUS)进

4、行编译及仿真,设计输入可采取VHDL硬件描述语言输入法和原理图输入法,并下载到EDA实验开发系统,连接外围电路,完成实际测试。1.2设计要求1)用六个数码管显示时、分、秒信息2)设置复位功能,可通过复位键将时、分、秒清零3)具有时、分预置功能,可分别对时和分做递增设置和递减设置二、整体设计思想2.1性能指标及功能设计 数字钟时常见的一种计数装置,数字钟以1Hz的频率工作。该设计完成数字钟的运行和显示。其主要功能有: (1)数字钟以1Hz的频率工作,其输入频率为1MHz。 (2)数字钟显示时、分、秒信息。这些显示信息在6个7段数码管上完成。 (3)通过按键设置时、分信息。并且具有对数字钟的复位功

5、能。 复位键将时、分、秒清零,并做好重新计数的准备。按键具有预置时、分的功能。分别对当前的时和分信息做递增设置和递减设置。2.2总体方框图三、详细设计3.1数字时钟的结构该数字钟的控制部分由PLD芯片完成。该芯片的输入和输出接口由下面信号组成:输入信号:复位信号(reset)时钟输入信号(clk)小时递增信号(inc_hour)小时递减信号(sub_hour)分钟递增信号(inc_min)分钟递减信号(sub_min)输出信号:7段数码管选信号(sel)7段数码管段选信号(q)3.2控制模块结构该设计分成下面五个模块:定时时钟模块、扫描时钟模块、按键处理模块、定时计数模块和显示控制模块。图11

6、.2给出了这几个模块之间的信号连接关系。 3.2.1、按键处理模块 由于VHDL语言的规则,将按键的处理和定时模块设计在一起。为了描述清楚,将对按键的处理进行说明。在该设计中,采用异步复位电路方式。当复位信号低有效时,计数器停止计数,时、分、秒清零。对于小时的递增、递减按键操作,通过一个1Hz的计数时钟采样。图11.3给出了递增、递减的操作时序。当1Hz的div_clk信号的上升沿到来时,检测hour_inc和hour_dec按键,图中的虚线表示在时钟的上升沿对按键信号进行采样。当hour_inc或hour_dec按键低有效时,对小时进行递加或递减操作。对于分钟的递加、递减按键操作,也是通过一

7、个1Hz的计数时钟采样。原理同图11.3。 3.2.2、定时时钟模块定时时钟模块其作用就是将外部提供的1MHz的时钟,通过分频器后向模块内的定时计数模块提供1Hz的定时计数时钟。在设计定时时钟模块时,采用同步计数电路。 3.2.3、扫描时钟模块扫描时钟模块的作用就是通过对1MHz的分频处理后,向显示控制模块提供合适的显示扫描时钟,该时钟必须经过合理的设计,才能保证7段数码显示的稳定。在设计扫描时钟模块时,采用同步计数电路。 3.2.4、定时计数模块 定时计数模块是该设计中最重要的一部分,在设计该模块时,为了便于后续显示控制模块的设计,将时、分、秒进行分离,即小时分成了小时的十位和个位分别处理,

8、分钟分成了分钟的十位和个位分别处理。秒分成了秒的十位和个位分别处理。在该设计中,采用24小时计数模式。 例如:13:28:57。13为小时的表示,1为小时的十位,3为小时的个位;28为分钟的表示,2为分钟的十位,8为分钟的个位;57为秒的表示,5为秒的十位,7为秒的个位。秒的个位计数从0-9,即十进制计数。当秒的个位计数到9后,准备向秒的十位进位。秒的十位计数从0-5,即六进制计数。当秒的十位计数到5后,准备向分的个位进位。分钟的个位计数从0-9,即十进制计数。当分钟的个位计数到9后,准备向分钟的十位进位。分钟的十位计数从0-5,即六进制计数。当分钟的十位计数到5后,准备向小时的个位进位。对于

9、小时的处理比较复杂,小时的十位和个位之间存在下面的关系:(1)当小时的十位为0或1时,小时的个位可以计数范围为0-9,即十进制计数;(2)当小时的十位为2时,小时的各位可以计数的范围为0-3,即四进制计数;3.2.5、显示控制模块显示控制模块主要作用是在7段数码管上正确的显示0-9的数字。sel三位选择线和3-8译码器相连四、主程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity clock is port(clk : in s

10、td_logic;rst : in std_logic;inc_min : in std_logic;sub_min : in std_logic;inc_hour : in std_logic;sub_hour : in std_logic;sel : out std_logic_vector(5 downto 0);q : out std_logic_vector(7 downto 0); end clock;architecture Behavioral of clock is signal sec_counter1:std_logic_vector(3 downto 0); signa

11、l sec_counter2:std_logic_vector(3 downto 0); signal min_counter1:std_logic_vector(3 downto 0); signal min_counter2:std_logic_vector(3 downto 0); signal hour_counter1:std_logic_vector(3 downto 0); signal hour_counter2:std_logic_vector(3 downto 0); signal divcounter : std_logic_vector(8 downto 0); sig

12、nal div_clk : std_logic; signal scancounter : std_logic_vector(1 downto 0); signal scan_clk : std_logic; signal scan_out : std_logic_vector(2 downto 0); signal secseg1,secseg2,minseg1,minseg2,hourseg1,hourseg2:std_logic_vector(7 downto 0); beginprocess(rst,clk) begin if(rst=1)then divcounter=0000000

13、00; div_clk=0;elsif(rising_edge(clk)thenif(divcounter=111111111) then divcounter=000000000; div_clk=not div_clk; else divcounter=divcounter+1; end if;end if; end process;process(rst,clk) beginif(rst=1)then scancounter=00; scan_clk=0; elsif(rising_edge(clk)then if(scancounter=11) then scancounter=00;

14、 scan_clk=not scan_clk; else scancounter=scancounter+1; end if; end if; end process;clock:process(div_clk,rst) begin if(rst=1)then sec_counter1=X0;sec_counter2=X0;min_counter1=X0;min_counter2=X0;hour_counter1=X0;hour_counter2=X0;elsif(rising_edge(div_clk)then if(inc_min=1) then if(min_counter1=X9) t

15、hen min_counter1=X5) then min_counter2=X0; else min_counter2=min_counter2+1; end if; else min_counter1=min_counter1+1; end if;elsif(sub_min=1) then if(min_counter1=X0) then min_counter1=X9; if(min_counter2=X0)then min_counter2=X5; else min_counter2=min_counter2-1; end if; else min_counter1=min_count

16、er1-1;end if;elsif(inc_hour=1) then if(hour_counter2=X2)then if(hour_counter1=X3)then hour_counter1=X0; hour_counter2=X0; else hour_counter1=hour_counter1+1; end if; else if(hour_counter1=X9) then hour_counter1=X0; hour_counter2=hour_counter2+1; else hour_counter1=hour_counter1+1; end if; end if;els

17、if(sub_hour=1) then if(hour_counter1=X0)then if(hour_counter2=X0)then hour_counter1=X3; hour_counter2=X2; else hour_counter2=hour_counter2-1; hour_counter1=X9; end if; else hour_counter1=X9) then sec_counter1=X5) then sec_counter2=X9) then min_counter1=X5) then min_counter2=X0; if(hour_counter2=X2)

18、then if(hour_counter1=X3) then hour_counter1=X0; hour_counter2=X0; else hour_counter1=hour_counter1+1; end if; else if(hour_counter1=X9) then hour_counter1=X0; hour_counter2=hour_counter2+1; else hour_counter1=hour_counter1+1; end if; end if; else min_counter2=min_counter2+1; end if;else min_counter

19、1=min_counter1+1; end if; else sec_counter2=sec_counter2+1; end if; else sec_counter1=sec_counter1+1; end if; end if; end if; end process clock;process(rst,scan_clk) begin if (rst=1) then scan_out=000; elsif(rising_edge(scan_clk) then if(scan_out=101)then scan_out=000; else scan_out q=secseg1; sel q

20、=secseg2; sel q=minseg1; sel q=minseg2; sel q=hourseg1; sel q=hourseg2; sel q=11111111;sel secseg1 secseg1 secseg1 secseg1 secseg1 secseg1 secseg1 secseg1 secseg1 secseg1 secseg1 secseg2 secseg2 secseg2 secseg2 secseg2 secseg2 secseg2 minseg1 minseg1 minseg1 minseg1 minseg1 minseg1 minseg1 minseg1 m

21、inseg1 minseg1 minseg1 minseg2 minseg2 minseg2 minseg2 minseg2 minseg2 minseg2 hourseg1 hourseg1 hourseg1 hourseg1 hourseg1 hourseg1 hourseg1 hourseg1 hourseg1 hourseg1 hourseg1 hourseg2 hourseg2 hourseg2 hourseg2”,所有输入/输出都被拷贝到右边的一侧,这些正是我们希望的各个引脚,也可以只选其中的的一部分,根据实际情况决定。然后单击屏幕右上脚的 “OK”。在出现的小屏幕上单击“OK”。

22、 设定仿真时间宽度。选择 Edit End time选项,在End time选择窗中选择适当的仿真时间域,以便有足够长的观察时间。波形文件存盘。选择FileSave as 选项,直接存盘即可。运行仿真器。在菜单中选择项,直到出现,仿真结束。5.3引脚锁定将设计编程下载进选定的目标器件中操作如下:1选择 Assignments Assignments Editor ,即进入 Assignments Editor编辑器。在Category 栏选择 Pin,或直接单击右上侧的 Pin 按钮。2双击 TO 栏的new,在出现的的下拉栏中选择对应的端口信号名(如 D0);然后双击对应的栏的new,在出现

23、的下拉栏中选择对应的端口信号名的期间引脚号。3最后存储这些引脚锁定信息后,必须再编译(启动 )一次,才能将引脚锁定信息编译进编程下载文件中。此后就可以准备将编译好的 SOF 文件下载到试验系统的FPGA中去了。5.4硬件测试1.首先将下载线把计算机的打印机口与目标板(如开发板或实验板)连接好,打开电源,选择模式3。2.打开编辑窗和配置文件。选择,弹出一个编辑窗。在Mode栏中选择JTAG,并在选项下的小方框打勾。注意核对下载文件路径与文件名。如果文件没有出现或者出错,单击左Add file侧按钮,手动选择配置文件 clocksof。 3.最后单击下载标符Start,即进入对目标器件 FPGA

24、的配置下载操作。当 Progress 显示100%,以及在底部的处理栏中出现 Configuration Succeeded 时,表示编程成功,如图所示。注意,如果必要时,可再次单击 Start ,直至编程成功。4下载完成后,通过硬件测试进一步确定设计是否达到所有的技术指标,如未达到,可逐步检查,哪部分出现问题。如果是代码出现问题,须修改代码;若是时序波形图有问题,须重新设置。5.5实验结果实验箱使用模式3,键8为复位按键,键8为0时正常工作。键3、4设置小时,键1、2设置分钟。下载成功后,按下键8,及使六个LED复位清零,松开键8,显示数秒的自动计时,可以通过3、4键设置小时数,1、2键设置

25、分钟数。当秒数满60则进一位,分钟数满60进一位,当显示为23:59:59时,秒数在加一则显示00:00:00,之后从新计时。结束语随着电子设计自动化技术的普及,利用EDA技术进行数字电路课程设计,不仅可以很好地锻炼学生的综合设计开发能力和动手能力,从而激发学生的学习兴趣,还可以大大节约数字电路课程设计实验的成本,提高设计效率。因此,将EDA技术应用于数字电路课程设计必将成为今后数字电路实验课程改革的新动向。EDA软件,可方便地在计算机上进行电路设计、仿真,其电路结构及设计观念可以很容易地被修正;也可方便地更换所需要的元件。通过模拟可快速地反映出所设计电路的性能。若能利用计算机辅助设计进行电路

26、模拟与分析,则可有效地节约资源、缩短产品研发的成本与时间。在本次课程设计中,曾遇到过不少问题,如果单靠我个人的努力,很难按时完成的,在此,我衷心感谢我的指导老师,我学到了很多东西。知道老师认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我获益非浅。老师无论在理论上还是在实践中,都给予我无私帮助和悉心的教导,使我的课程得以顺利地按时完成。参考文献1 曾繁泰 李冰 李晓林.EDA工程概论M. 清华大学出版社, 2002年. 2 胡立涛.EWB电子仿真实验指导书M. 南海出版公司, 2006年.3 朱运利.EDA技术应用M. 电子工业出版社, 2004年.4 郭勇.EDA技术M. 高等教育出版社, 2004年.5 钟文耀 段玉生 何丽静.EWB电路设计入门与应用M.清华大学出版社, 2000年.6 崔建明.电子电工EDA仿真技术M. 高等教育出版社, 2004年.7 周功明 周陈深.基于Electronics Workbench 6.0 的数字电子钟设计与仿真- 15 -

展开阅读全文
相关资源
相关搜索
资源标签

当前位置:首页 > 技术资料 > 课程设计

版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1 

陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922