eda技术课程设计7段数码显示译码器设计.doc

上传人:精*** 文档编号:865407 上传时间:2023-10-05 格式:DOC 页数:7 大小:273KB
下载 相关 举报
eda技术课程设计7段数码显示译码器设计.doc_第1页
第1页 / 共7页
eda技术课程设计7段数码显示译码器设计.doc_第2页
第2页 / 共7页
eda技术课程设计7段数码显示译码器设计.doc_第3页
第3页 / 共7页
eda技术课程设计7段数码显示译码器设计.doc_第4页
第4页 / 共7页
eda技术课程设计7段数码显示译码器设计.doc_第5页
第5页 / 共7页
点击查看更多>>
资源描述

1、目 录1.课程设计目的及原理.71.1.课程设计的题目7 1.2课程设计的目的.7 1.3.课程设计的原理72.课程设计内容.92.1 VHDL源程序设计.9 2.2.功能仿真图.103.设计感想.124.参考文献12摘要7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是利用译码程序在FPGA/CPLD中为实现。 根据输入的信号翻译成相应的数字,按照设计好的对应的灯亮,组成数字,1.课程设计目的及原理1.1.课程设计的题目: 7段数码显示译码器设计 1.2课程设计的目的: 1)、学习7段数码显示译码器设计; 2)、

2、 学习VHDL的CASE语句应用及多层次设计方法;3)、加深并熟练Quartus II的基本操作。1.3.课程设计的原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的其输出表达式都是十六进制的,为满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中为实现。但其数码管的连接方式不同其要想得到相同的结果其译码输出是不一样的。7段数码管的连接方式有两种即:共阴极连接(高电平有效);共阳极连接(低电平有效)。当我们要显示9时,如果我们采用共阴极连接译码输出应为1101111,数码管7个

3、段g,f,e,d,c,b,a;分别接1,1,0,1,1,1,1;其中接高电平的是g,f,d,c,b,a;接低电平的是e。 如果我们采用共阳极连接译码输出应为0010000,数码管7个段个,g,f,e,d,c,b,a;分别接0,0,1,0,0,0,0;其中接高电平的是e;接低电平的是g,f,d,c,b,a;本次课程设计请偶们将采用共阴极连接方式。共阳极(1),共阴极(2),7段数码管(3)图如下: (1) (2) (3)2.课程设计内容在Quartus II上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。2.1.VHDL源程序设计(1)先建立一个目标文件夹,以便用来存放编写好的

4、程序。 (2)打开MAX+PLUS编程软件,点击file中的new,在弹出的对话框中选择text editor file,单击ok按钮。此时选择了文本编辑方式。 (3)点击保存按钮,出现一个对话框,在file name中键入文件名,在directories选择已建立的用来存储程序的文件夹,在选中目标盘以及后缀.vhd.单击ok按钮。 (4)选择菜单file至project至set project current file,将此项设计设置成工程。 (5)进入编辑窗口,编辑好要编写的程序。 (6)选中菜单assign中的device,在对话框中的device famile在选中acex1k,在de

5、vices在选择ep1k30tc208.3。单击ok。 (7)选中max+plus中的compiler,单击start对程序进行编译。如有错则进行修改。 (8)选中菜单file中的new在对话框中选中waveform editer file,打开波形编辑窗口,建立波形文件。 (9)选中node菜单中的enter nodes from snf,在弹出的对话框中单击list,再单击=按钮。 (10)在options中删去snap to grid左侧的对勾,设置波形参数。选file中的end time,输入10us。 (11)给输入信号A赋值,可按小时钟,在出现的对话框中的clock period中

6、输入周期。 (12)选中max+plus中的仿真器项simulator,单击start按钮,得到输LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY DECL7S IS PORT ( A :IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END ;ARCHITECTURE one OF DECL7S ISBEGIN PROCESS( A ) BEGIN CASE A IS WHEN 0000 = LED7S LED7S LED7S LED7S LED7S

7、 LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S NULL ; END CASE ; END PROCESS ;END ; 2.2.功能仿真图3.设计感想在本次设计过程中,我查阅了大量的书籍,设计电力电子技术、EDA技术及应用等几门学科,不但巩固和加深了所学的专业基础课知识,还将所学的知识融会贯通,并且将课本与实际相结合,真正实现了学有所用。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实

8、际动手能力和独立思考的能力。在设计的过程中遇到问题,能够通过查阅相关书籍得以解决同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。通过这次课程设计之后,一定把以前所学过的知识重新温故。总体来说这次的课程设计很成功,达到了预想的目的:学到了知识,提高了能力,完成了任务。有点缺憾是时间有限,不能进一步深入和扩散学习和研究。希望有时间可以对程序和电路图作更进一步的改进,比如用数码管的共阳极连接方式来实现7段数码显示译码器设计。4.参考文献1 王广君,杨志方. EDA技术及应用. 武汉:华中科技大学出版社.2 王宝库 基于单片机、EDA技术的波形发生器的设计 微计算机信息出版社3 武国财,王宇飞 EDA技术发展及应用4 奚素霞 基于EDA技术的数字电路设计

展开阅读全文
相关资源
相关搜索
资源标签

当前位置:首页 > 技术资料 > 课程设计

版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1 

陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922