高效率D类音频功率放大器的设计.doc

上传人:精*** 文档编号:829455 上传时间:2023-09-06 格式:DOC 页数:29 大小:929.75KB
下载 相关 举报
高效率D类音频功率放大器的设计.doc_第1页
第1页 / 共29页
高效率D类音频功率放大器的设计.doc_第2页
第2页 / 共29页
高效率D类音频功率放大器的设计.doc_第3页
第3页 / 共29页
高效率D类音频功率放大器的设计.doc_第4页
第4页 / 共29页
高效率D类音频功率放大器的设计.doc_第5页
第5页 / 共29页
点击查看更多>>
资源描述

1、 摘 要随着信息化时代的到来,以功放电路为设计核心的电子产品渐渐地走进人们的生活,耳机、音响等音频功放类电子产品被现代人所迅速的接纳和青睐,随之音频功率放大器得到了快速的发展。本设计为高效率D类音频功率放大器。设计主要包括四个部分:前置增益放大电路、三角波产生电路、信号转换电路和显示电路。在输出信号无失真的情况下测量,3db通频带为3003400Hz,最大不失真功率达到150mW,在此情况下测量的功率放大器效率明显大于60%。设计中采用了高效率的D类功放为设计核心,以D类功放最新成果为设计思想,并配置5V便携式电源供电,完全符合产品市场化与用户需求。关键词:通频带;最大不失真功率;D类功率放大

2、器;效率ABSTRACTWith the advent of the information age to the core of the amplifier circuit design of electronic products gradually into peoples lives, headphones, audio and other audio amplifier electronics are modern and favor the rapid acceptance, along with an audio amplifier to get rapid developmen

3、t.The system is designed is a high efficient Class-D Audio Power Amplifer.Design includes for parts: pre-gain amplifier circuit ,the triangular wave generating circuit,signal conversion circuit and display circuit.In the case of the output signal without distortion measure,3db passband of 3003400Hz,

4、the maximum distortion power of 150mW,the effciency measured in this case significantly greater than 60%.Design uses a high-efficiency Class D amplifier design core to the latest results for the Class D amplifier design ideas and configure 5V portable power supply products in full compliance with th

5、e market and customer needs.Keywords:Passband; Class D power amplifier; Maximum power without distortion; EfficiencyV目 录诚信声明I摘 要IIABSTRACTIII第一章 前 言1 1.1 设计背景1 1.2 音频功放的概述1 1.3 音频功放的分类2第二章 方案论证与比较42.1 高效率功率放大器42.1.1 功率放大器的选择42.1.2 功放核心实现电路的选择42.2 信号变换电路62.3 功率测量电路6第三章 各模块电路原理分析与计算83.1 调制电路83.2 高速开关桥

6、式电路83.3 三角波产生电路93.4 驱动电路103.5 低通滤波器模块电路113.6 信号变换模块电路113.7 整体电路性能仿真12第四章 系统仿真测试及数据分析.144.1 测试仪器144.2 测试结果144.3 结果分析154.4 改进措施16第五章 电路调试.17 5.1 不通电检查.17 5.2 通电检查.175.3 测试与调整175.4 整机联调18第六章 设计总结与心得196.1 设计总结196.1.1 原理图设计总结196.1.2 电路安装过程总结196.1.3 单元电路调试总结196.1.4 PCB设计总结196.1.5 整机调试总结196.2 设计心得20参考文献.21

7、致谢.22附录A:PCB布线图.23附录B:元器件清单.24第一章 前 言1.1 设计背景随着信息化时代的到来,以功放电路为设计核心的电子产品渐渐地走进人们的生活,耳机、音响等音频功放类电子产品被现代人所迅速的接纳和青睐,随之音频功率放大器得到了快速的发展。在过去十多年的功放发展进程中,根据电路的组成、器件的变化和信号输入输出方式的改变可以将其划分为以下几个大的发展阶段:电路从使用单管发展成如今流行的多管;所用的电子元器件从独立电子管进化为如今的集成电路;信号输出形式从变压器优化到BTL、OTC等高效率输出形式。其原理实现表现为模拟功放的工作过程,由于它有体积偏大、效率太低等明显缺点,与音频功

8、率放大高效、节能和小型化的发展趋势相矛盾,于是D类音频功率放大器的研究与开发得到了人们的重视和快速发展,并很快取得了突破性进展。我在此次的毕业设计中采用了高效率的D类功放为设计核心,以D类功放最新成果为设计思想,并配置5V便携式电源供电,完全符合产品市场化与用户需求1。1.2 音频功放的概述功率放大器从狭义上来定义就是按需求放大电路的输出级,来达到驱动执行机构的目的。如耳机的发声、音响的发声、仪器仪表指针的偏转等。在低频放大电路中必须采用低频功率放大器获得满足条件的输出功率。同理在高频电路中为了获得足够大的高频输出,就要采用高频功率放大器。此设计研究的核心是D类音频功放,主要需要涉及的指标或参

9、数有:输出功率P0、放大器效率n、总谐波失真THD、电源抑制比PS。下面对其一一进行分析:输出功率P0:因为在设计中电源模块的功放管的极限参数如Icm、Pcm等因素的限制,功率放大器就要输出足够大的功率P0,这样才能让电路各模块及负载正常工作,所以输出最大功率P0也将会受到限制。放大器效率n:放大器的效率顾名思义就是功放的输出信号功率P0与直流电源供给功放的功率PE之比,即n=(P0/PE)*100%。总谐波失真:总谐波失真是指输出信号与输入信号多出的额外谐波成分之比,当然必须满足一个前提,即用信号源作为输入。电源抑制比:该参数是指电源电压的偏差耦合与输出信号上的比值,此信号为模拟电路的输出信

10、号。一般来说,电源抑制比越大,音频功放输出的音质就越好。功放的主要参数指标为输出功率和效率,输出功率的大小和功放的效率值越高,功放的性能越好。然而输出功率与功放的效率值不能兼顾,是设计中需要解决的矛盾。具体来讲,低频功放的特点是工作效率低,相对频带宽度较宽。相反,高频功放的工作频率高,相对频带宽度窄,相对频宽只有中心频率的10%左右。由于高低频电路存在如此明显的差别,导致各自的工作状态与负载回路也大不相同。其中,高频功放除了上述说的两点参数指标外,在设计中也需要注意谐波分量不能太大,否则会对其它输出波形的分量造成不必要的干扰。国际标准中对所有设计的功放和广播电台的谐波辐射有几个基本要求:对电台

11、功率来说,不论输出功率有多大,在靠近电台的位置2000m范围内的谐波分量的场强不能大于70uV/m。所以,通过计算只有谐波不大于35mW的前提下才满足设计要求,否则就会对其他频道产生明显干扰;一般我们生活中所使用的广播属于中波传输,不论距离发射源多远,必须满足各谐波场强与基波场强之比依次不能大于0.2%。基于以上规定和限制,现在的广播信号都已经降到了55dB以下2。综上所述,要想设计出高效率的音频功率放大器,必须妥善的解决功放的效率值和输出功率的设计矛盾,给功率放大器选择合适的工作状态是解决这一矛盾的主要方法,不同的工作状态决定了不同的功放效率,也由此将功放分为了下面将要介绍的几大类。1.3

12、音频功放的分类功放的分类:传统的功率放大器主要有A类(甲类)、B类(乙类)、AB(甲乙类)和D类(丁类)功放。众所周知,放大器工作时参数中通有电流流通角,不同的电流流通角可以区分不同的工作状态,对应为不同种类的放大器为甲类、乙类和丙类功放。甲类功放的电流流通角为360,晶体管在整个周期内均处于放大区,所以输出信号的失真很小,常用于小信号的放大。这类功放的缺点是效率很低,理想情况下的效率值才50%左右,且输出信号的动态范围小,不适合大多数产品的推广和使用。乙类功放的电流流通角为180,晶体管在一个周期内有一半时间处于放大区,即输入信号只在放大区内才导通,其它时间均处于截止状态。尽管如此,理想状态

13、下效率仍可达到78%左右,比甲类功放的效率高很多,常运用于大功率器件。此类功放的缺点是存在明显的非线性失真,会导致噪声大,干扰输出信号。丙类功放综合了前两种功放的优点,将晶体管的导通时间控制在甲、乙类功放之间,即50%100%,因此兼顾了提高效率和减小失真,实现了比甲类功放效率高,比乙类功放失真小。是目前相对最流行的功放之一。不过由于其工作原理依然和甲类、乙类功放没有本质区别,也需要采用高精度的ADC进行输入信号的转换,生产成本高,不利于实现与推广3。通过上面的分析比较可以看出,传统的功放,即介绍的前三类功放,其系统设计时主要包括两个工作过程:1、输入的数字语音数据到模拟语音信号的转换,该过程

14、是通过高精度数模转换器ADC来转换实现的,不仅要求设计时将误差降到最低,其生产成本也很高;2、利用模拟功放进行输入信号放大,精度要求也很高,在以前的技术水平很难达到与实现。从上个世纪八十年代开始,许多致力于功放设计的厂家便开始开发自己的数字音频功放,不再使用传统的模拟功放,数字功放是直接将输入的语音数据实现放大而不用进行信号的转换,这样不仅节约了成本,更提高了功放的效率,后来经过不断地发展,便有了此次设计的核心的丁类功放,国际上也称其为D类高效率功放。本设计的核心是D类功放,D类功放是目前所有功放设计方案中效率最高的。通过查阅相关资料,总结出了D类功放的主要特点:效率高,同时产生的热量小,可靠

15、性高。耗电量仅为同功率模拟功放的1/3,而且电源实际使用效率高达九成。节约能源,符合环保要求;节能、体积小、重量轻、应用数字化,适应社会发展趋势;动态特性好。没有额外的负载反馈,没有了模拟放大信号源的影响,所以具备了更标准的输入输出特征;可以直接接收数字音频信号源输出的音频信号,并用模拟数字信号的方式进行信号放大,体现了功率放大器与数字音源的完美结合;1、失真大。同等条件D类功放的失真比较起其他几类功放来说,其失真度尤为明显,在设计中需要采取方法对其抑制;2、对采样信号的时钟抖动很敏感。时钟采样频率的细小抖动也会引来明显的失真。所以在设计过程中必须注意这点,在提高最大不失真功率的同时将失真抑制

16、到最低4。第二章 方案论证与比较根据设计任务书的要求,本系统的组成方框图如下图图2.1所示。下面将按照设计原则对每个模块的设计方案分别进行详细的比较与论证。 图2.1 系统组成方框图2.1 高效率功率放大器2.1.1 功率放大器的选择方案一:采用A类、B类、AB类非高效率功率放大器。很明显从前面的原理介绍可知,这三类功放的效率均达不到本设计要求。方案二:采用D类功率放大器。由于D类功率放大器的工作原理是采用音频信号的幅度性调制输入不完整的高频脉冲的幅度。在理想状态下,功放管一直工作在高频状态,所以通过低通滤波器后的输出音频信号具有极高的效率,理论上可达无损耗的100%,实际效率值可以达到85%

17、至95%,所以此方案符合设计要求。2.1.2 功放核心实现电路的选择本设计的核心就是功放电路的设计,采用什么电路形式来达到题目要求的性能指标,直接关系到设计的成败与实现的价值。所以在设计中分别对其中的脉宽调制器、高速开关电路、滤波器的选择、开关管的选择进行方案论证与比较,为最终的设计确定最佳方案。A.脉宽调制器(PWM)方案一:采用符合条件的脉宽调制器,也就是市场上常见的PWM集成块,但是PWM集成块通常有电源电压的限制,而且精度不高,难以满足本设计提出的精度要求。方案二:使用集成的三角波产生器和比较器组合电路,其优点是各部分的实现功能简单明确,而且能灵活实现仿真与调试。而且可以在较低的电压下

18、工作,所以选择此方案。具体模块流程图如下图图2.2所示。图2.2 脉宽调制器流程图B.高速开关电路方案一:使用推挽单端输出方式。设计电路如下图图2.3所示,通过计算与测试,电路输出载波峰峰值远达不到5V电源电压,最大输出功率也达不到设计要求。此方案不可行。 图2.3 高速开关电路方案二:选用H桥型输出方式。使用这种方式在理想的情况下,载波的峰峰值最高可达到10V,明显的提高了输出功率,经计算,能达到设计要求和参数指标,所以可以选择这种输出电路形式。设计电路如下图图2.4所示。 图2.4 H桥型输出电路C.滤波器的选择方案一:采用两个二阶低通滤波器。缺点:负载上的电压得不到有效衰减,输出信号有噪

19、音干扰,得不到理想的输出波形。不符合设计要求,故不采用此方案。方案二:采用两个完全相同的四阶低通滤波器。方案二是方案一的改进,在20kHz频带的条件下使负载上的高频载波电压得到明显衰减,而且噪音明显减少,达到了设计要求。D.开关管的选择方案一:选用晶体三极管。晶体三极管虽然能够提供稳定的放大作用,但是却有较大的驱动电流的要求,并存在存储时间过长,开关特性不够好,导致整个功放的静态损耗及开关过程中的损耗较大。此方案不符合设计要求。方案二:选用VMOSFET管。VMOSFET管具有很小的驱动电流以及低导通电阻及良好的开关特性,所以此方案符合设计要求。2.2 信号变换电路根据设计要求,系统采用的输出

20、方式为浮动输出,所以需要信号变换电路具有双端变单端的功能,而且增益最好为1。方案一:采用数据集成放大器组成变换电路。优点:精度高;缺点:价格贵,不符合经济的设计开发理念。方案二:采用差动式减法电路。减法电路是由简单的单运放组成,由于其电路的设计功放要求输出具有很强的带负载能力,所以对变换电路的输入阻抗不高,符合本次设计要求。故采用此方案。2.3 功率测量电路方案一:用A/D转换器采样音频输出的有效值,也就是采样电压瞬时值,计算出平均有效功率,原理框图如下图图2.5所示。此方案的缺点是算法过于复杂,软件采样点多,工作量大。故不采用此方案。图2.5 A/D采样法功率测量流程图方案二:采用真有效值变

21、换法。考虑到所设计的电路图,功放输出信号不是单一的频率,所以必须采用真有效值变换值电路。原理框图如下图图2.6所示。这个方案需要采用真有效值转换芯片,进而计算出音频信号电压的有效值,然后用A/D转换器采样该有效值,用单片机很容易便采样计算出平均功率。相比方案一很明显工作量小,速度快而且精度高。图2.6 真有效值变换功率测量流程图第三章 各模块电路原理分析与计算3.1 调制电路PWM调制电路是建立在每一个特定时间间隔能量类似于正弦波所包含能量的概念上发展优化而来的一种脉宽调制法,即三角波调制法。为了在整个电路的输入端得到尽量接近于正弦波的脉宽调制波形,可以直接把正弦波在任意一个周期内在时间上划分

22、成N等份,这样每一份脉宽都是2/N。可以分别由此计算出在每个时间间隔中正弦波所包含的面积,在前面每个特定的时间间隔中,都可以用一个脉宽波形与之对应的正弦波所包含的的面积成比例或者相等,可是其脉冲幅度都近似等于Um的矩形电压脉冲代替的那部分正弦波模块,这样的N个不相等的宽度的脉冲就会组成一个和正弦波等效果的调制波形。我假设输入信号的正弦波幅值为Um,其等效波形的幅值为Um,则每个等效矩形脉冲波宽度L为: L = 2Um/Um*sin*sin(/N) (3.1)注:=(2i/N) - (/N),i = 1,2,3,. ,N由于计算过程与分析太过复杂,便不一一在此赘述。从上述表达式可分析出:在N大于

23、20的前提下,当载波比N固定时,脉冲宽度与分段中心角的正弦值成正比关系,输出端产生的矩形脉冲的宽度等于正弦波的幅值和三角波的幅值之比。所以,其基波和谐波的各个幅值表达式如式(3.2)所示: (3.2)从上式可以很容易得出结论:脉冲宽度i和调幅比Um/Um有关,但是基波幅值Uml和谐波幅值Umn又与脉冲宽度i有关5。3.2 高速开关桥式电路高速开关桥式电路原理图如下图图3.1所示。有原理图可知,由于整个电路工作在开关状态,所以输出管的功率损耗极低,而且做功功率可以达到很高。经此开关电路调制后的信号从IN1和IN2输入,Q1Q4为前级驱动电路,为了得到较大的驱动电压,在此我选择了9012、9013

24、对管,经测试完全可以驱动VMOS管和IRF540。VMOS管的优点是不仅开关速率极高,而且抗干扰能力强,开启电压高。经调制后的信号见下图图3.2.2所示。当输入电压为负时,Vout1占空比小于50%,Vout2占空比不仅略大于50%,而且其脉冲宽度随负音频电压的有效值的增大而变宽。当输入电压为空时,Vout1和Vout2占空比相等,都为50%,所以此时无电流通过。图3.1 高速开关桥式电路图3.2 调制电路3.3 三角波产生电路三角波产生电路采用精密电压比较器LM311和满幅运放TLC4502产生输入信号,既能保证可以产生良好的线性三角波信号输入,也可以达到设计要求的拓展部分中对功放在低电压下

25、也能正常工作的要求。其次载波频率的设定除了要考虑抽样定理以外,也要考虑电路功能的实现。通过计算,选择四阶Butterworth LC滤波器进行设计,并且选用频率为160KHz的载波,可使输出端对载波频率的衰减达到设计要求,所以我选择在设计中将载波频率设为160KHz。下面是三角波电路设计中一些电路参数的计算:在设定电源电压为5V的情况下,将比较器的3脚与运算放大器的5脚相连,同时为了稳定的输出和方便计算,设定R8为2.5V,设输出的对称三角波幅度为1V,Vp-p=2V。选定R10为100K,并且忽略不计比较器在高电平时电阻的压降,则有R9的求解过程如下所示: ; (3.3)经过计算,除去小部分

26、压降损耗,取R9为39K。在理论值计算时选定的稳定工作频率为f=160KHz,设定R6+R7=19K,电容恒流放电或是充电稳定电流为: (3.4)则电容两端的最大电压值可设定为: (3.5)上述表达式中,VC4的最大值为2V,T1为半周期,很容易有: (3.6)在上式(3.6)中,取C4=220pF,R7=10K,R6为20k可调电位器,目的是让其振荡频率f在150KHz有较大的调节范围。3.4 驱动电路根据设计需要和前面开关电路的设计原理可知,开关电路中的功率开关管相比输入电路具有非常大的功率,所以需要在比较器的后端加入驱动电路。对此模块我的设计思路大致是这样的:首先驱动电路必须具有足够的驱

27、动能力,才能为开关管电容进行快速的充放电,从而达到功率开关管的目的。在实际使用和生产过程中,当N、P型的功率开关管出现同时开启的不正常状况时,会导致电源通过串联的两个开关管直接短路而且不会经过负载。这是因为由于比较器输出PWM的脉冲信号前后沿会出现不同程度的偏差以及开关管栅极电容和沟道存储电荷的存在,这种情况会非常危险,这就相当于于在两个管子上形成VDD大小的电源电压,此开关管的电阻非常小,加之电压相对比较大,短路时流过开关管的电流就会很大,一般会造成能量的无效耗损,而且严重的情况下会很容易烧毁功率管。为了避免此等情况的发生,因此也有必要通过驱动电路在输出脉冲信号中加入一小段死区时间,即在该段

28、时间内,N、P型开关管会同时关断7。通过对实际电路的设计与仿真分析后,发现驱动电路引入的死区时间会有明显影响D类音频功放的谐波失真的情况,而且随着死区时间的增加,谐波失真的问题就会更严重。所以在实际电路设计中,对死区时间长短的设置要仔细,既要保证不会造成能量过多的无效耗损,也要尽量缩短死区时间,以改善D类音频功放的最大不失真效率。经过分析,符合本设计的要求条件的驱动电路必须要具备以下两种功能:一是要具备电路保护的功能,当整个功放电路输出出现过流或者是过温等紧急情况时,要能安全的封锁两路脉冲信号的输出,以达到保护系统的功能,二是能够在两路输出脉冲波之间形成合适的死区时间。该驱动电路包含了上下两路

29、电路。其中,输入信号为输出的PWM脉冲信号,PWM1用来驱动N型功率开关管,PWM2用来驱动P型功率开关管。EN为高电平有效的使能控制模块的输出信号,默认值为低电平。当整个电路出现过流过温等突发情况时,EN输出高电平,从而断开全桥功率开关电路。该驱动电路的工作原理:当PWM信号从低电平变化为高电平时,首先是PWM1变为低电平,断开NMOS开关管,然后才是PWM2再变为低电平,打开PMOS开关管,进入正常工作状态;反之当PWM从高电平变化为低电平时,首先是PWM2先变为低电平,关闭NMOS开关功率管,然后才是PWM1变为低电平,开启PMOS开关功率管。在实时测量设计中,根据需要通过改变电容C1、

30、C2的值、m1m6的尺寸以及反相器U5、U6、U9的参数以达到调整死区时间长短的目的。3.5 低通滤波器模块电路低通滤波模块电路图如下图图3.3所示。四个场效应管同时驱动电流进入感性负载,将其分成两组,成对轮流导通,其中一对导通时另外一对就必然截止。在设计中我使用了两个完全相同的四阶Butterworth 滤波器,利用Butterworth 滤波器高频衰减快的特点,能够避免两对场效应管处于同时截止或是导通状态,电路的设计保证了任何一对场效应管的截止不会和另外一对的导通时间相重叠,受调制后输出的方波能够使开关管尽可能快的改变现有状态,大大缩短了其工作在线性工作区的时间,使效率得到明显提高。通过软

31、件仿真与理论值的计算,最后确定C1=1uF,C2=0.48uF,L1=22uH,L2=47uH。图3.3 低通滤波器模块电路3.6 信号变换模块电路信号变换模块电路图如下图图3.5所示。根据设计需要的要求此模块电路将双端转换为单端输出,所以采用运放OP07就可以满足20K的带宽要求。R1=R2=R3=R4=22k,R5=796即可,令增益为1。由于此模块的工作原理比较简单,便不在此赘述。 图3.5 信号变换模块电路3.7 整体电路性能仿真最后,对整体电路进行分析。首先是对系统的瞬态输入分析,在这个设计中是采用了一个正弦波信号进行模拟输入仿真,在仿真时选用D类音频功放的输入VINN幅值是0.5V

32、、频率为1KHz的正弦波信号,VINP为1V,增益为12dB的直流信号,其中负载值为8Ohm。其具体设计完成后的整个原理图如下图图3.6所示。 图3.6 D类功率放大器整体设计原理图考虑到此设计电路是由数模混合组成的电路,将其设计成实物时就需要把数字电路和模拟电路集成到同一块芯片上,为了使数字电路产生的开关噪声通过可能的各种途径对模拟电路的不良影响降低到最小,所以设计过程中需要采取有效的隔离措施。设计中我将电路中的模拟地和数字地分隔开,并将模拟区域与数字区域用隔离环相隔开,使其保持足够的距离。其次对于敏感的模拟信号进行信号屏蔽,防止受到周围环境或其它信号的干扰,对于一些敏感的输入信号不仅要注意

33、避免周边环境的干扰还要尽可能的注意匹配相应的输出信号端口,所以都要进行屏蔽。对于一些不稳定或是频繁变化的数字信号,在布线区域内将其隔开,防止干扰周围的有用信号。同时需要注意的是在没有器件的位置和走线比较稀疏的地方多打接触孔,并且与地连接。这样做的好处是减少干扰的同时手机电路中的噪声电流,起到稳定衬底电位的作用。由上述的分析可以看到电路布局的合理性与最终的实验结果有着密不可分的联系,只有合理的布局才会将系统干扰与测试误差降至最低。考虑到实际板子的大小和元器件的大小和数量,本设计的最终整体模块布局如下图3.7所示。 图3.7 D类音频功率放大器整体模块布局第四章 系统仿真测试及数据分析4.1 测试

34、仪器测试仪器及型号如下:WD990稳压电源 E51/L仿真机V-1065A 示波器 JH811 晶体管毫伏表VC201型数字式万用表 SG1643型函数信号发生器Windows xp系统 PC机 (128M内存)4.2 测试及仿真结果1、3dB通频带的测量结果如下表1:表1 通频带测量数据F/HZ30060090010002000250030003400100mV1.00V1.01V0.97V0.97V0.91V0.80V0.71V0.63V200mV2.01V2.10V1.94V1.90V1.88V1.66V1.39V1.11V 2、 最大不失真功率测量结果如下表2:表2 最大不失真功率测量

35、数据 f300Hz600Hz900Hz1000Hz2000Hz2500Hz3000Hz3400HzVop-p8.12V8.13V8.11V8.08V7.59V7.13V7.01V6.19V Pmax1.05W1.07W1.04W1.02W0.98W0.89W0.81W0.64W 3、 功率放大器效率测量的测量结果如下表3:表3 功放效率测量数据P0100mW200mW500mW1000mWVop-p1.98V3.55V6.17V8.01VI47mA61mA154mA261mAn59%62%68%72%4、电压放大倍数的测量增益变化范围为022。5、低频噪声电压的测量值等于8.9mV。6、PWM

36、模块仿真结果如下图图4.1所示。 图4.1 脉宽调制模块仿真电路图7、 低通滤波模块仿真结果如下图图4.2所示。 图4.2 低通滤波模块仿真电路图4.3 结果分析1.从上述测试数据可以看到,功放效率和最大不失真效率与理论值还是存在一定的偏差。经过数据分析,我认为产生误差主要有以下几个大的方面:由4个电感产生的直流电阻引起的低通滤波模块的损耗;功放电路存在一定的静态损耗,其中包括音频前置放大电路、输出驱动电路、PWM调制器;功放输出电路的损耗对效率和最大不失真输出功率也均会产生误差。另外,H桥的互补激励脉冲达不到理论上的同步,便会产生功率损耗。2.功率测量值存在较明显的误差,这里除了1:1变换电

37、路引起的误差外,A/D转换器也会带来一定的误差。尽管在理论上设计的电路精度已经很高很精确,但是每一个操作流程和设计都会带来误差,而且不可避免,只能努力使其降低对实验结果分析的影响。此外,测量仪器本身也会带来一定的误差。3.从表2中得到的测量数据可得在3dB通频带为3003400Hz的前提下,Pmax最小取值也为0.64W,即640mW,满足设计条件:最大不失真输出功率大于100mW。4.从测试结果4可以看到满足设计条件:输入阻抗大于10k,电压放大倍数120连续可调(022)。5.从表3中得到的测量数据可看出虽然在P0等于100mW时效率仅为59%,但是当P0大于100mW时效率大于60%。满

38、足设计条件:在最大不失真输出功率大于100mW时测量的功率放大器效率大于60% 。6.从测试结果4可以看到满足设计条件:在输入端对地交流短路时测量的前提下,低频噪声电压(20kHz以下)小于10mV,并且电压放大倍数为10。4.4 改进措施总结以上仿真和测试中出现的不足与缺陷,并结合到实际中可能出现的问题,在后续的设计和完善中,我们可以从以下几个方面对设计加以改进:1、设法减小电路中功率的损耗,其中又包括动态损耗和静态损耗。具体到每个模块实际的改动,可以减小运放和比较器的静态功耗、进一步减小低通滤波器模块里电感的直流电阻、选用导通电阻更小的VMOSFET管等等。2、数字电路和模拟电路完全分开,

39、且分别供电,并在各部分加上隔离环,以减小相互的影响。3、充分考虑各器件的匹配性,尤其是模拟电路中器件的分布。4、电阻、电容上少走线或不走线,以减少电流信号的干扰。 第五章 电路调试 整个音频功放设计在通过仿真与测量后,接下来就需要进行电路调试。只有通过了正确的系统调试才能让各模块电路稳定正常工作。在本次设计中我所用到的具体的调试方法主要有:通电检查、测试与调整、不通电检查、整机联调。下面对其做具体介绍。5.1 不通电检查 电路连线完成后,首先要检查连线是否正确,是否和原理图上的连线完全一样。包括多线、少线、错线的检查。导致这些问题的一般操作是由于在焊接时看错引脚或是在修改时忘了修改先前的线所造

40、成的。这些是在操作过程中很常见的问题,而且在检查错误时又不容易发现,所以在连接线时一定要小心操作,对此,我在插线时是严格按照原理图逐一检查的连线,或者是按照实际的PCB连线版图检查每个元器件的引脚连线是否有误。当然,无论按照什么规则检查错误,都要注意将已经检查的线路标记区分出来。此外,其它的排错操作也要进行,如测量电源端和接地端的电阻阻值,检查接地端与电源电路是否有短接的情况等等。5.2 通电检查 通电之前再整体检查一下电路有无其它异常情况。如无问题接通电源,通电后仔细观察电路是否有不正常的现象,比如电路内部有无异常声响、电路有无冒烟、芯片或元件有无发烫的情况、是否闻到异味、电源是否短路等等。

41、其中特别要注意的是用手触摸元件或芯片时一定不能接触其引脚及其它导电部位,防止人体的静电对芯片或元件造成损害或对电路产生不良影响,只能触摸元件和芯片的塑胶外壳等其它不导电部分。通电后电路如果出现异常现象应当马上关掉电源,根据不同的现象判断故障出现的位置及原因,待故障排除后即可重新接入通电,以保证电路能正常工作。5.3 测试与调整测试阶段是在整个电路组装完成后对其电路参数以及工作状态进行测量。调整阶段就是指在测试的基础上对电路参数进行合理修正,以保证电路正常工作且误差在可控范围内。本次设计在电路组装阶段我采用的是一边安装一边调试的方法,也就是把整个电路分模块进行安装调试。其中又包括静态调试和动态调

42、试两个方案。1. 静态调试 静态调试是指在没有外界信号输入的前提下测试电路中各个点的电位情况。比如以前学习模电时测量电路中的静态工作点时就用到了这个方法。把测出的数据与原设计值对比,如果超出了设计允许范围,则要分析其出现的原因并进行电路修改。2. 动态调试 动态调试的原理是利用电路上级的输出信号作为下级的输入信号。主要包括信号的幅值、相位的关系、波形的形状、放大倍数、频率及输出的动态范围等等。虽然模拟电路的原理分析比较复杂抽象,但是只要器件选择合适,直流的工作状态正常,逻辑关系就不会有太大的问题。数字电路的调试相对模拟电路来说,由于集成度比较高所以调试的工作量一般不太大,主要来讲只有两个方面,即测试电平转换的效率以及测试电路的工作速度,需要注意的是在测试电平转换效率的时候要取有效电平进行测量和计算6。5.4 整机联调在将各个分立模块调试完成后,需要将个模块的电路连接起

展开阅读全文
相关资源
相关搜索
资源标签

当前位置:首页 > 学术论文 > 毕业设计

版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1 

陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922