ImageVerifierCode 换一换
格式:DOC , 页数:10 ,大小:96.57KB ,
资源ID:1038459      下载积分:10 积分
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 微信支付   
验证码:   换一换

加入VIP,免费下载资源
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【http://www.wodocx.com/d-1038459.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子信息工程基于VHDL的100进制计数器报告.doc)为本站会员(星星)主动上传,沃文网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知沃文网(发送邮件至2622162128@qq.com或直接QQ联系客服),我们立即给予删除!

电子信息工程基于VHDL的100进制计数器报告.doc

1、目录一、设计要求-二、设计目的-三、设计方案-四、设计原理-五、硬件要求-六、调试与问题疑点-七、设计步骤-八、体会、对设计工作的总结与展望-一、设计要求(1)设计带计数允许和复位输入的100进制计数器,要求完成顶层电路图和底层VHDL文件;(2)进行功能仿真;(3)下载并验证计数器功能;(4)按上述步骤设计100进制计数器;(5)为上述设计建立元件符号。二、设计目的(1)设计一个带计数允许和复位端的100进制计数器。(2)熟练掌握各种计数器类型模块的描述方法。(3)能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。(4)体会EDA技术的优点。三、设计方案 设计两个按键,一个有停止、

2、开始的功能,另一个有复位清零的功能,具体的看表1-1。 表1-1按键状态功能EnEn=1开始计时En=0停止计时RstRst=0复位清零 通过分频分出1KHZ对数码管的位地址进行扫描,而100KHZ利用if-else设计计数程序,并输出显示信号。利用多个进程来完成以上的设计方案。四、设计原理library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity bcd_100d isport(en,rst,clk:in std_logic; duan

3、:out std_logic_vector(5 downto 0); cout:out std_logic_vector(6 downto 0);end;architecture one of bcd_100d issignal clk_1k:std_logic;signal clk_100h:std_logic;signal cnt6:integer range 0 to 3;signal data:std_logic_vector(3 downto 0);signal data1:std_logic_vector(3 downto 0);signal dout:std_logic_vect

4、or(5 downto 0);signal s:std_logic_vector(6 downto 0);signal led1,led2,led3,led4:std_logic_vector(3 downto 0);begin process (clk) variable cnt1:integer range 0 to 250; variable cnt2:integer range 0 to 100; begin if clkevent and clk=1 then if cnt1=250 then cnt1:=0; if cnt2=100 then cnt2:=0; clk_1k=not

5、 clk_1k; else cnt2:=cnt2+1; end if; else cnt1:=cnt1+1; end if; end if; end process; process (clk) variable cnt1:integer range 0 to 4000; variable cnt2:integer range 0 to 4000; begin if clkevent and clk=1 then if cnt1=4000 then cnt1:=0; if cnt2=4000 then cnt2:=0; clk_100h=not clk_100h; else cnt2:=cnt

6、2+1; end if; else cnt1:=cnt1+1; end if; end if; end process; process(clk_1k) begin if clk_1kevent and clk_1k=1 then if cnt6=3 then cnt6=0; else cnt6doutdoutnull; end case;end process;process(dout)begin case dout is when111110=datadatanull; end case;end process;process(clk_100h)beginif rst=0 thenled2

7、=0000;led1 led1 led2=0000; led1 led2 led1ssssssssssnull; end case;end process;duan=dout;cout=s;end;五、硬件要求 表2-1六、调试与问题疑点 问题疑点:1. 怎样实现两数码管同时显示.2. 怎样实现数字的循环.调试:1. 编译无误,然后就对照自己所设计的功能按键状态(表1-1),看是否符合设计结果要求.2. 检查无误,然后对自己规定的设计方案分配引脚(表2-1),进行编译无误,就下载到实验板上来验证设计效果.如果与自己的想法有出入,就要进行修改最后要达到自己的结果.3. 验证的结果与是否符合自己所规定的设计方案.七、设计步骤 显示进程计数进程分频进程 100HZ 输出数码管段码信号 1K数码管位地址扫描 输出位选信号 八、体会、对设计工作的总结与展望 在这次设计实验中,我深刻地体会到思路清晰是十分行重要的,从分频开始,到数码管显示,再到实现计数。每一步都是非常重要的,刚开始时我就遇到了: 怎样实现两数码管同时显示, 怎样实现数字的循环这两个问题思路也很模糊,但参考了大量的资料后,我的思路也就渐渐地清晰了,问题也解决了。这使我明白了参考资料能使我们学到很多课堂上无法学到和知识。我相信在以后的设计中,只要肯思考就一定会有突破。 .

版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1 

陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922