ImageVerifierCode 换一换
格式:PPT , 页数:14 ,大小:992.50KB ,
资源ID:1036109      下载积分:10 积分
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 微信支付   
验证码:   换一换

加入VIP,免费下载资源
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【http://www.wodocx.com/d-1036109.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(交通灯控制系统设计.ppt)为本站会员(精***)主动上传,沃文网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知沃文网(发送邮件至2622162128@qq.com或直接QQ联系客服),我们立即给予删除!

交通灯控制系统设计.ppt

1、项目十项目十 交通灯控制系统设计交通灯控制系统设计学习目标学习目标v能正确分析任务要求,并确定系统方案拟定顶层能正确分析任务要求,并确定系统方案拟定顶层结构功能图;结构功能图;v能用状态机结构正确编制交通灯控制系统的能用状态机结构正确编制交通灯控制系统的VHDL程序;程序;v能在能在Max+Plus软件平台上对交通灯进行调试、软件平台上对交通灯进行调试、功能仿真;功能仿真;v能在能在EDA实验系统上进行硬件验证、测试;实验系统上进行硬件验证、测试;v能在能在Max+Plus软件平台上对交通灯的功能与性软件平台上对交通灯的功能与性能进行完善提高;能进行完善提高;主要内容主要内容v较复杂数字系统设

2、计的任务分析方法;较复杂数字系统设计的任务分析方法;v实用交通灯控制系统方案的构建方法和顶层结构实用交通灯控制系统方案的构建方法和顶层结构功能图的拟制;功能图的拟制;v采用状态机结构正确编制交通灯控制系统(每个采用状态机结构正确编制交通灯控制系统(每个状态的计数时间相同,只是显示红黄绿灯,不带状态的计数时间相同,只是显示红黄绿灯,不带数码管显示和带数码管显示和不同时间的带数码数码管显示和带数码管显示和不同时间的带数码显示)的显示)的VHDL程序;程序;v在在Max+Plus软件平台上对交通灯进行调试、功软件平台上对交通灯进行调试、功能仿真;能仿真;v在在EDA实验系统上进行硬件验证、测试;实验

3、系统上进行硬件验证、测试;v在在Max+Plus软件平台上对交通灯的功能与性能软件平台上对交通灯的功能与性能进行完善提高;进行完善提高;项目描述项目描述1、项目工作要求、项目工作要求 1)、使用、使用EDA实验箱上交通灯模块中的实验箱上交通灯模块中的12个发个发光二极管(东西光二极管(东西EW方向为主干道,南北方向为主干道,南北NS方向方向为次干道),指示通行。为次干道),指示通行。2)、使用)、使用EDA实验箱上的最左边实验箱上的最左边2个个LED数码数码管显示东西方向倒计时,最右边管显示东西方向倒计时,最右边2个个LED数码管数码管显示南北方向倒计时。显示南北方向倒计时。3)、使用)、使用

4、EDA实验箱上的实验箱上的K1、K2开关分别开关分别设置主干道、次干道上的传感器发出信号。设置主干道、次干道上的传感器发出信号。2、项目资讯、项目资讯 1)、实地调研交叉路口的交通灯控制运行状况。)、实地调研交叉路口的交通灯控制运行状况。2)、交通灯控制系统的工作原理。)、交通灯控制系统的工作原理。3)、基于)、基于FPGA和和VHDL的数字电路与数字系的数字电路与数字系统设计方法与工作流程。统设计方法与工作流程。4)、分频器、计数器、译码器、多路选择器、)、分频器、计数器、译码器、多路选择器、数码管动态扫描显示的数码管动态扫描显示的VHDL设计。设计。项目计划项目计划v交通灯的系统框图交通灯

5、的系统框图时钟脉冲分频器主干道控制器定时器1定时器2支干道控制器BCD译码器动态扫描电路计数器数据选择器支干道信号灯主干道信号灯系统框图系统框图定时器时钟电路控制器传感器clksbsacntcr30205grGR Yy通行方式通行方式状态转移表状态转移表现态现态输入输入次态次态输出输出State0In1=1State1Out1=0001State1In1=0State2Out1=0010State2In1=1State3Out1=0100State3NoneState4Out1=1000State4NoneState0Out1=1111实体框体框图RSTCLKM6.1LED6.0SEL1.0B6.1TRAFFIC谢谢观赏WPS OfficeMake Presentation much more funWPS官方微博kingsoftwps

版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1 

陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922