1、课程设计任务书学 院信息科学与工程学院专 业电子信息工程1班学 生 姓 名学 号设 计 题 目数字频率计设计目的:通过综合性课程设计题目的完成过程,运用所学EDA知识,解决生活中遇到的实际问题,达到活学活用,所学为所用的目的,进一步理解EDA的学习目的,提高实际应用水平。设计要求:1、题目:数字频率计2、设计要求: 测量范围:1HZ10HZ。测量误差1响应时间15s。显示时间不小于1s。具有记忆显示的功能。即在测量过程中不刷新数据。等数据过程结束后才显示测量结果。给出待测信号的频率值。并保存到下一次测量结束。包括时基产生与测评时序控制电路模块。以及待测信号脉冲计数电路模块和锁存与译码显示控制电
2、路。3、课程设计报告要求:内容:1)、第一页为设计任务书。第二页为目录;2)、第三页开始正文部分,包括:设计目的;设计要求;设计方案;时序仿真;数据分析3)、最后是结论和参考文献进度安排:周一:根据设计要求查阅相关资料;周二:源代码设计;周三、四:程序设计及程序调试;周五:写课程设计报告和验收准备。指导教师(签字):年 月 日学院院长(签字):年 月 日 目录任务书 1目录 2正文摘要31. 设计目的.42. 设计要求53 设计方案6 4. 程序源码 75. 时序仿真 146. 数据分析 15结论 16参考文献 17正文摘要频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器
3、。频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。 在无线
4、通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。1. 设计目的通过综合性课程设计题目的完成过程,运用所学EDA知识,解决生活中遇到的实际问题,达到活学活用,所学为所用的目的,进一步理解EDA的学习目的,提高实际应用水平。本次设计的数字频率计具有精度高、使用方便、测量迅速、便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字频率计主要包括时基产生与测评时序控制电路模块、待测信号脉冲计数电路、译码显示与锁存控制电路模块。2. 设计要求要求:设计一个四位十进制的数字频率计。要求具有以下功能:(1) 测量范围:1HZ10HZ
5、。(2) 测量误差1。(3) 响应时间15s。(4) 显示时间不小于1s。(5) 具有记忆显示的功能。即在测量过程中不刷新数据。等数据过程结束后才显示测量结果。给出待测信号的频率值。并保存到下一次测量结束。(6) 包括时基产生与测评时序控制电路模块。以及待测信号脉冲计数电路模块和锁存与译码显示控制电路。3. 设计方案设计方案自顶向下设计,底层模块分时基产生与测评时序控制电路模块、待测信号脉冲计数电路模块、译码显示与锁存控制电路模块。(1)时基产生与测评时序控制电路模块:设计频率记的关键是设计一个测频率控制信号发生器(即时基产生与测评时序控制电路模块),产生测量频率 控制时序。控制时钟信号clk
6、取为1Hz,二分频后即可产生一个脉宽为1s的时钟control-en ,以此作为计数闸门信号。当control-en为高电平时,允许计数;当control-en的下降沿时,应产生一个锁存信号,将计数值保存起来;锁存数据后,在下一个control-en上升沿到来之前对计数器清零,为下次计数做准备。(2)待测信号脉冲计数电路模块 待测信号脉冲计数电路模块就是计数器,计数器以待测信号作为时钟,在清零信号clr到来时,异步清零;使能信号en为高电平时允许计数,为低电平时禁止计数。(3)锁存与译码显示控制电路模块 锁存器在control-en下降沿到来时,将计数器的计数值锁存,这样就不会因为周期性的清零
7、信号而不断闪烁了。译码显示电路将计数器测得的BCD码数字转换为七段晶体管LED显示(09),显示出十进制的数字结果。 顶层文件框图如下:4. 程序源码一底层程序源码1、时基产生与测频时序控制电路模块的VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity control is port (clk:in std_logic; -定义输入 rst,ena: out std_logic); -定义输出end control;architecture behv of control i
8、sbegin process (clk) -clk为敏感信号 variable cqi :std_logic_vector(2 downto 0);begin if clkevent and clk=1 then -时钟上升沿 if cqi 1 then cqi:=cqi+1;ena=1;rst0); ena=0;rst0); -执行清零 elsif fxevent and fx=1 then -fx上升沿 if ena =1 then -如果使能信号为1 if cqi 9 then cqi:=cqi+1;cout0); cout0); -使能信号为0 end if;end if; outy
9、fx,rst=rst,ena=ena,cout=e(0),outy=d(3 downto 0);u2:cnt10 port map(fx=e(0),rst=rst,ena=ena,cout=e(1),outy=d(7 downto 4);u3:cnt10 port map(fx=e(1),rst=rst,ena=ena,cout=e(2),outy=d(11 downto 8);u4:cnt10 port map(fx=e(2),rst=rst,ena=ena,cout=e(3),outy=d(15 downto 12);end architecture one;3、译码显示电路的VHDL源程
10、序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity latch4 isport(d:in std_logic_vector(15 downto 0); -d,ena,clk为锁存器输入ena,clk:in std_logic;q:out std_logic_vector(15 downto 0); -q为锁存器输出 end latch4;architecture one of latch4 isbeginprocess(clk,ena,d) -ck,d,ena为敏感信号variable
11、 cqi:std_logic_vector(15 downto 0);beginif ena=0 then cqi:=cqi;elsif clkevent and clk=1 then cqi:=d;end if;qclk,ena=x,rst=z);u2: cnt10_4 port map(fx=fx,rst=z,ena=x,d=g);u3: latch4 port map(clk=clk,ena=x,d=g,q=h);u4: led_controller port map(d(3 downto 0)=h(3 downto 0),a(6 downto 0)=leds(6 downto 0);u
12、5: led_controller port map(d(3 downto 0)=h(7 downto 4),a(6 downto 0)=leds(13 downto 7);u6: led_controller port map(d(3 downto 0)=h(11 downto 8),a(6 downto 0)=leds(20 downto 14);u7: led_controller port map(d(3 downto 0)=h(15 downto 12),a(6 downto 0)=leds(27 downto 21);ledout=leds;end; -结束5. 时序仿真仿真波形:
13、 6. 数据分析时序仿真波形分析:输入CLK为1HZ脉冲。根据程序设计,每七位对应一个数码管,从高到低排列即为(0111111)(0111111)(00001100)(0111111),七段数码管显示10进制数为0010,符合设计要求。硬件测试结果及分析:通过输入不同频率的信号,可通过面板上的四个七段数码管显示出结果。结论1、根据频率计的工作原理,将电路划分成控制器、计数器、锁存器和LED显示几个模块: 控制器产生1秒脉宽的计数允许信号、锁存信号和计数器清零信号计数器对输入信号的脉冲数进行累计锁存器锁存测得的频率值LED显示将频率值显示在数码管上2、实验分底层模块和顶层的设计,底层用VHDL硬件描述语言设计,顶层可以用原理图输入方式和文本输入方式(本次用文本输入方式)。3、最终得到了正确的实验结果:通过输入不同频率的信号,可通过面板上的四个七段数码管显示出结果。参考文献1 赵全利 EDA技术及应用教程 机械工业出版社 2009.92 谢克明. 电子电路EDA. 兵器工业出版社.2001.3 江国强 EDA技术与应用(第2版) 电子工业出版社 2007.54 陈新华 EDA技术与应用 机械工业出版社 2008.817
版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。
Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1
陕公网安备 61072602000132号 违法和不良信息举报:0916-4228922